Programma's van www.SystemC.org

  • Open SystemC Initiative (OSCI) Gratis

    Het Open SystemC Initiative (OSCI) is een gezamenlijke inspanning om SystemC te ondersteunen en vooruit te helpen als een de facto standaard voor systeemontwerp. SystemC is een interoperabel, C++ SoC/IP-modelleringsplatform v